Архитектура компьютеров_ЛБ_3
Task: Лабораторная работа №3 -- Author: -- Group: LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY lb3_1 IS PORT ( Clk, R, S : IN STD_LOGIC; Q : OUT STD_LOGIC); END lb3_1; ARCHITECTURE Structural
Архитектура компьютеров_ЛБ4
Task: Лабораторная работа №4 -- Author: -- Group: LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY lb4_1 IS PORT ( SW : IN STD_LOGIC_VECTOR(1 DOWNTO 0); KEY : IN STD_LOGIC_VECTOR(0 DOWNTO 0); HEX0
Архитектура компьютеров_лб2
установленные переключателями -- Author: -- Group: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity lb2_1 is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3
РАЗРАБОТКА АВТОМАТИЗИРОВАННОЙ СИСТЕМЫ «ОБЩЕПИТ»
использованием ASP.NET MVC 5 и MS SQL Server." - Вильямс, 2016. - 1184 7 Д. Гейнер. "ASP.NET MVC 4 и Entity Framework 5. Разработка сайтов с использованием баз данных." - Питер, 2013. - 416 с. 8 С. Райт
Настройка веб-сервера Nginx в корпоративной сети
timed out) while reading response header from upstream………………………………………………………….. 2.8.6 413 Request entity too large……………………………………………… Настройка веб-сервера в сети int.demo.wsr………………………………….. Выводы